library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity cute_kohai is port( A: in std_logic; B: in std_logic; O: out std_logic ); end cute_kohai; architecture arq_cute_kohai of cute_kohai is begin O <= A AND B; end arq_cute_kohai;